#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++# # This file is a .ucf for Mimas V2 (http://www.numato.com) # # To use it in your project : # # * Remove or comment the lines corresponding to unused pins in the project. # # * Rename the used signals according to the your project. # # * For more detail refer the User Guide for Mimas V2 available at http://numato.com/fpga-cpld # #+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++# #**************************************************************************************************************************************************# # UCF for Mimas V2 # #**************************************************************************************************************************************************# CONFIG VCCAUX = "3.3" ; #NET "CLK_100MHz" LOC = V10 | IOSTANDARD = LVCMOS33 | PERIOD = 100MHz ; #NET "CLK_12MHz" LOC = D9 | IOSTANDARD = LVCMOS33 | PERIOD = 12MHz ; ################################################################################################################################################### # UART Interface # ################################################################################################################################################### #NET "UART_TX" LOC = A8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "UART_RX" LOC = B8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; ################################################################################################################################################### # SPI Flash # ################################################################################################################################################### #NET "SDI" LOC = T13 | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #MOSI #NET "SDO" LOC = R13 | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #MISO #NET "SCLK" LOC = R15 | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #SCK #NET "CS" LOC = V3 | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #CS ################################################################################################################################################### # LPDDR MT46H32M16XXXX-5 # ################################################################################################################################################### #NET "calib_done" LOC = P15 | IOSTANDARD = LVCMOS33; #NET "error" LOC = P16 | IOSTANDARD = LVCMOS33; #NET "c3_sys_rst_n" LOC = L15 | IOSTANDARD = LVCMOS33 | PULLDOWN; # Pin 7 of Header P9 #NET "mcb3_dram_a[0]" LOC = J7 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[10]" LOC = F4 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[11]" LOC = D3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[12]" LOC = G6 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[1]" LOC = J6 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[2]" LOC = H5 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[3]" LOC = L7 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[4]" LOC = F3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[5]" LOC = H4 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[6]" LOC = H3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[7]" LOC = H6 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[8]" LOC = D2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_a[9]" LOC = D1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_ba[0]" LOC = F2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_ba[1]" LOC = F1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_cas_n" LOC = K5 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_ck" LOC = G3 | IOSTANDARD = DIFF_MOBILE_DDR; #NET "mcb3_dram_ck_n" LOC = G1 | IOSTANDARD = DIFF_MOBILE_DDR; #NET "mcb3_dram_cke" LOC = H7 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dm" LOC = K3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[0]" LOC = L2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[10]" LOC = N2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[11]" LOC = N1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[12]" LOC = T2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[13]" LOC = T1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[14]" LOC = U2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[15]" LOC = U1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[1]" LOC = L1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[2]" LOC = K2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[3]" LOC = K1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[4]" LOC = H2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[5]" LOC = H1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[6]" LOC = J3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[7]" LOC = J1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[8]" LOC = M3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dq[9]" LOC = M1 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_dqs" LOC = L4 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_ras_n" LOC = L5 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_udm" LOC = K4 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_udqs" LOC = P2 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_dram_we_n" LOC = E3 | IOSTANDARD = MOBILE_DDR; #NET "mcb3_rzq" LOC = N4 | IOSTANDARD = MOBILE_DDR; ################################################################################################################################################### # DIP Switches # ################################################################################################################################################### #NET "DPSwitch[0]" LOC = C17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 8 #NET "DPSwitch[1]" LOC = C18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 7 #NET "DPSwitch[2]" LOC = D17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 6 #NET "DPSwitch[3]" LOC = D18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 5 #NET "DPSwitch[4]" LOC = E18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 4 #NET "DPSwitch[5]" LOC = E16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 3 #NET "DPSwitch[6]" LOC = F18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 2 #NET "DPSwitch[7]" LOC = F17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #DP 1 ################################################################################################################################################### # Push Buttons Switches # ################################################################################################################################################### #NET "Switch[5]" LOC = M18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW1 #NET "Switch[4]" LOC = L18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW2 #NET "Switch[3]" LOC = M16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW3 #NET "Switch[2]" LOC = L17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW4 #NET "Switch[1]" LOC = K17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW5 #NET "Switch[0]" LOC = K18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; #SW6 ################################################################################################################################################### # LEDs # ################################################################################################################################################### #NET "LED[7]" LOC = P15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D1 #NET "LED[6]" LOC = P16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D2 #NET "LED[5]" LOC = N15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D3 #NET "LED[4]" LOC = N16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D4 #NET "LED[3]" LOC = U17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D5 #NET "LED[2]" LOC = U18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D6 #NET "LED[1]" LOC = T17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D7 #NET "LED[0]" LOC = T18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #D8 ################################################################################################################################################### # Micro SD Card # ################################################################################################################################################### #NET "DAT0" LOC = K14 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #MISO #NET "DAT1" LOC = G18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "DAT2" LOC = J13 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "DAT3" LOC = L13 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #CS #NET "CMD" LOC = G16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #MOSI #NET "CLK" LOC = L12 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #CLK ################################################################################################################################################### # Seven Segment Display # ################################################################################################################################################### #NET "SevenSegment[7]" LOC = A3 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #a #NET "SevenSegment[6]" LOC = B4 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #b #NET "SevenSegment[5]" LOC = A4 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #c #NET "SevenSegment[4]" LOC = C4 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #d #NET "SevenSegment[3]" LOC = C5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #e #NET "SevenSegment[2]" LOC = D6 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #f #NET "SevenSegment[1]" LOC = C6 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #g #NET "SevenSegment[0]" LOC = A5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #dot #NET "SevenSegmentEnable[2]" LOC = B3 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Enables for Seven Segment #NET "SevenSegmentEnable[1]" LOC = A2 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "SevenSegmentEnable[0]" LOC = B2 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; ################################################################################################################################################### # Audio # ################################################################################################################################################### #NET "Audio1" LOC = B16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; # Audio Left #NET "Audio2" LOC = A16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; # Audio Right ################################################################################################################################################### # VGA # ################################################################################################################################################### #NET "HSync" LOC = B12 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "VSync" LOC = A12 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Red[2]" LOC = C9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Red[1]" LOC = B9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Red[0]" LOC = A9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Green[2]" LOC = C11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Green[1]" LOC = A10 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Green[0]" LOC = C10 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Blue[2]" LOC = A11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #NET "Blue[1]" LOC = B11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; ################################################################################################################################################### # HEADER P6 # ################################################################################################################################################### #NET "IO_P6[7]" LOC = U7 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 1 #NET "IO_P6[6]" LOC = V7 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 2 #NET "IO_P6[5]" LOC = T4 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 3 #NET "IO_P6[4]" LOC = V4 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 4 #NET "IO_P6[3]" LOC = U5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 5 #NET "IO_P6[2]" LOC = V5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 6 #NET "IO_P6[1]" LOC = R3 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 7 #NET "IO_P6[0]" LOC = T3 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 8 ################################################################################################################################################### # HEADER P7 # ################################################################################################################################################### #NET "IO_P7[7]" LOC = U8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 1 #NET "IO_P7[6]" LOC = V8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 2 #NET "IO_P7[5]" LOC = R8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 3 #NET "IO_P7[4]" LOC = T8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 4 #NET "IO_P7[3]" LOC = R5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 5 #NET "IO_P7[2]" LOC = T5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 6 #NET "IO_P7[1]" LOC = T9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 7 #NET "IO_P7[0]" LOC = V9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 8 ################################################################################################################################################### # HEADER P8 # ################################################################################################################################################### #NET "IO_P8[7]" LOC = R11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 1 #NET "IO_P8[6]" LOC = T11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 2 #NET "IO_P8[5]" LOC = R10 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 3 #NET "IO_P8[4]" LOC = T10 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 4 #NET "IO_P8[3]" LOC = U13 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 5 #NET "IO_P8[2]" LOC = V13 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 6 #NET "IO_P8[1]" LOC = U11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 7 #NET "IO_P8[0]" LOC = V11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 8 ################################################################################################################################################### # HEADER P9 # ################################################################################################################################################### #NET "IO_P9[7]" LOC = H17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 1 #NET "IO_P9[6]" LOC = H18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 2 #NET "IO_P9[5]" LOC = J16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 3 #NET "IO_P9[4]" LOC = J18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 4 #NET "IO_P9[3]" LOC = K15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 5 #NET "IO_P9[2]" LOC = K16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 6 #NET "IO_P9[1]" LOC = L15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 7 #NET "IO_P9[0]" LOC = L16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; #Pin 8